| FORUM

FEDEVEL
Platform forum

Embedded System and FPGA Design

MustafaE , 08-27-2019, 07:01 AM
Hi everyone
I am trying to improve myself as a digital design engineer. First of all I see people who design systems with FPGAs. They generate cores using HDL, add ready to use cores from vendors, use softcore processors and finally come up with a full design. I still couldn't decide where to start. Should I learn VHDL or Verilog syntax; or digital design concepts such as memory usage, clock domain crossing, manipulating data; or wrapping up a total system. Any advice will be appreciated. Moreover if you suggest any resource to follow for a complete tutorial I will be really happy.
Thanks
Paul van Avesaath , 08-28-2019, 06:00 AM
First off all congrats on making the very first post in CHDL and FPGA!!!

secondly i think if you are really serious about this you should learn both.. because from what i have seen.. most Intel/Altera FPGA users use VHDL and most Xilinx users use Verilog.. but i think these days it doesn't matter much.. but i am not a code writer.. from what i can gather with verilog you have more control options than with VHDL..

with regards to your questions about design conecpts. and reading your other post.. I would suggest finding a job in R&D! somewhere you can start at entry level and have people around you that can help you with these questions.

there are a lot of recources out there. but i think if you want to tinker at home with this.. find a cheap development kit and start doing small things like "hello world" projects.. meaning a blinkig led.. reading out an IO.. and progressing from there..

it might help telling us a bit more from your background / age / experiences so far ..or where you are located in the world

robertferanec , 08-28-2019, 06:42 AM
I agree with @Paul van Avesaath.

PS: Jordan Christman has many courses about FPGA on Udemy: https://www.udemy.com/user/jordanchristman/
MustafaE , 08-28-2019, 07:04 AM
Thank you both @robertferanec and @Paul van Avesaath !! I already have a job in R & D and my job description started changing due to the projects in my company. I posted the question for this. I tried starting from beginning such as hello world and led blinking projects; but those look very simple. I mean if there is a book or video series which teaches how to implement design blocks and finish a project, that will give me really good inspiration. Maybe such course will pay off and you want to do that @robertferanec ?
Paul van Avesaath , 08-28-2019, 07:51 AM
the trick with VHDLand Verliog is that it is parallel thinking.. every clock cycle there is something happening.. yes you can create a state machine and go serial again.. but really getting the grasp of parrallel thinking is pretty hard.. just google around for tutorials.. also start thinking about projects for your self.. what do you think you can make.. and how would you make it..

you have to start at the beginning even it looks very simple.. but if you start at something too hard you will loose your energie too..
I dont know what company you work, but maybe you can spend one day a week with one of your senior collegues.. and see what you can learn from them..
there are plenty of books out there. also if you call a local FPGA vendor/supplier the will have courses aviable form time to time..

were are you from? there are loads of courses but maybe not near you...
MustafaE , 08-28-2019, 07:58 AM
I am from Turkey, I found lots of tutorials and courses. But I want to learn is as follows.
What is BRAM and how do I use in FPGA; when should I use external RAM; what are the cons and pros of each; what is AXI streams and when to use it; when should I add a softcore processor to the system; what should I do if I want to export data via ethernet, USB or PCIExpress. At the beginning I want to learn these concepts.
Paul van Avesaath , 08-29-2019, 12:00 AM
B-RAM (Block ram) https://www.youtube.com/watch?v=fqUuvwl4QJA
What is a Block RAM (BRAM) in an FPGA? Tutorial for beginnersThey're used for FIFOs, Dual Port Memories, and More!Block RAMs (or BRAM) stands for Block Random Access Memory. Block RAMs are used for storing large amounts of data inside of your FPGA. They one of four commonly identified components on an FPGA datasheet. The


Axi stream https://www.youtube.com/results?search_query=axi+stream+interface

t
here are tons and tons of information on the web and on youtube (sorry to spam other channels @robertferanec )

i can understand where you are comming from (wanting to know this), but these questions are not something that is easy to explain because 99% is project dependend and are very advanced concepts to implement..
Comments:
Paul van Avesaath, 08-29-2019, 07:34 AM
ah now i get it, your trying to find an education. something like a course. well ask you local vendors (find out who is supplying components to your company, ask them if they know or maybe host local events) I ussually get spammed with stuff like online course, come and visit the expo stuff like that..there are a lot of talks during expo's and you meet a lot of people in the industry.. ask on linked in if someone can suggest a good VHDL/VERILOG course.. but try and do it locally.. maybe there is a university or school that provides extra classes for external people..
robertferanec , 08-29-2019, 04:37 AM
Maybe such course will pay off and you want to do that robertferanec ?
- I would love to, do not have time



Source: https://www.terasic.com.tw/cgi-bin/p...=1046&PartNo=2
Use our interactive Discord forum to reply or ask new questions.
Discord invite
Discord forum link (after invitation)

Didn't find what you were looking for?