| FORUM

FEDEVEL
Platform forum

VHDL Testbenches

Giayniod , 12-25-2019, 01:28 AM
I am having trouble getting ModelSim up and running to support some HDL work that I have been doing. It is likely the result of operator error -- I used ModelSim for some Xilinx based projects a few years ago so I have some familiarity, but am brand new the the Altera/Quartus environment and am definitely rusty when it comes to the process so I appreciate your patience.

For starters, I am getting an error when running ModelSim on a clean bladeRF project (hosted, 115KLE). After cloning, building, and opening the project in Quartus, I run the RTL Simulator and see the following log output once ModelSim launches:

Code:
// other stuff # -- Compiling architecture hosted_bladerf of bladerf# ++ Error: (vcom-11) Could not find work.bladerf# ++ Error: ~/Documents/bladeRF/source/hdl/fpga/platforms/bladerf/vhdl/bladerf-hosted.vhd(27): VHDL Compiler exiting# ++ Error: ~/altera/13.1/modelsim_ase/linuxaloem/vcom failed.# Error in macro ./hosted_run_msim_rtl_vhdl.do line 68# ~/altera/13.1/modelsim_ase/linuxaloem/vcom failed.# while executing# "vcom -2008 -work work {~/Documents/bladeRF/source/hdl/fpga/platforms/bladerf/vhdl/bladerf-hosted.vhd}"ModelSim>
As I mentioned this is on a stock project, so I suspect it could be a configuration or path problem. I know the *_tb.vhd and *.vho files are spread out throughout the project tree, so is there a certain directory I should be in when doing this? Once I get up and running with these stock bladeRF testbenches I can apply that configuration to my own application. Please let me know if I can provide any additional information. Thanks!

And I've been asked by a friends dad about what to get his son as a beginner electric. I've always thought Yamaha Pacificas (I read article about it) to be fairly consistent. Do you agree or got any other ideas?

Thanks for any help given.
robertferanec , 12-30-2019, 01:33 AM
Not really my area Can't help. Maybe someone else?
otutay , 01-22-2020, 02:02 PM

Hi @Giayniod,
Is that file located on the given path?
/Documents/bladeRF/source/hdl/fpga/platforms/bladerf/vhdl/bladerf-hosted.vhd,
chitransh92 , 03-07-2021, 11:25 AM
Hello @Giayniod ,
For Model sim to work you need to Start with Change directory first and locate the directory where your Test bench file is kept in your computer.
Then you go for creating library for simulation.

Thank you.
Use our interactive Discord forum to reply or ask new questions.
Discord invite
Discord forum link (after invitation)

Didn't find what you were looking for?